aboutsummaryrefslogtreecommitdiff
path: root/romctrl_testbench.v
blob: f816abe819248e54bfdfd0beb7725a5d568e9578 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
`timescale 1ns / 1ps

module romctrl_testbench;

reg clk_i;
reg rst_i;
reg rd_i;
reg wr_i;
reg [31:0] dat_i;
reg [4:0] adr_i;

wire [31:0] dat_o;
wire ack_o;

rom_ctrl uut (
  .clk_i(clk_i), 
  .rst_i(rst_i), 
  .rd_i(rd_i), 
  .wr_i(wr_i), 
  .dat_i(dat_i), 
  .adr_i(adr_i), 
  .dat_o(dat_o), 
  .ack_o(ack_o)
);

initial begin
  clk_i = 0;
  forever begin
    clk_i = !clk_i;
    #50;
  end
end

reg [31:0] read_value;
localparam ADR = 5'hF,
           VAL = 32'habbccdd;

	
initial begin
  rst_i = 0;
  
  clear();
  
  $display( "ROM Controller Testbench started." );
  
  $display( "Writing to ROM value 0x%h by address 0x%h...", ADR, VAL );
  write( ADR, VAL );
  $display( "Writing finished.", );

  $display( "Reading from ROM from address 0x%h...", ADR );
  read( ADR, read_value );
  $display( "Read value is 0x%h", read_value );
  
  $display( "ROM Controller Testbench finished." );

  clear();
  
  $finish();
end

task write( input [4:0] adr, input [31:0] dat );
  begin
    @( posedge clk_i );
    
    adr_i <= adr;
    dat_i <= dat;
    wr_i  <= 1;
    rd_i  <= 0;
    
    @( posedge clk_i );
    while( ack_o == 1'b1 )
      @( posedge clk_i );
      
    wr_i  <= 0;
    rd_i  <= 0;
  end
endtask

task read( input [4:0] adr, output [31:0] dat );
  begin		
    adr_i <= adr;
    wr_i  <= 0;
    rd_i  <= 1;
    
    @( posedge clk_i );
    while( ack_o == 1'b1 )
      @( posedge clk_i );
      
    dat = dat_o;		  
    wr_i  <= 0;
    rd_i  <= 0;
  end
endtask

task clear();
  begin
    @( posedge clk_i );
    rd_i <= 0;
    wr_i <= 0;
    dat_i <= 0;
    adr_i <= 0;
  end
endtask
      
endmodule