aboutsummaryrefslogtreecommitdiff
path: root/sw/test.rom
blob: 3b9dc387bbdf38dab625c89372fd31fa66da769c (plain)
1
2
3
4
5
6
@00000000
8C080200 8C090200 00000000 01094020 
2108000B 01094022 35080010 01094026 
0109402A 01094020 1109FFF5 00000000 
@00000200
00000001 0000FFFF