aboutsummaryrefslogtreecommitdiff
path: root/sw/convert.py
blob: bd8ab2beb851e2c9114bbd4e7c25b158c3f90d0a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
# -*- coding: utf-8 -*-
"""
Created on Fri Dec 04 13:10:20 2015

@author: bayevskihk
"""

import sys

def main(argv):
    number    = len(argv)
    data_addr = 0
    last_addr = 0 
    
    if(number != 2):
        return
    else:
        try:
            data_addr = int(argv[0])
            last_addr = int(argv[1])
        except:
            print ("Wrong arguments")
            return
        
        if(parse("text.vh", "text.rom", data_addr) < 0):
            print ("Wrong text file")
            return
            
        if(parse("data.vh", "data.rom", last_addr - data_addr) < 0):
            print ("Wrong text file")
            return
        
        print ("Convertion was successfull")
        
        
def parse(file_name, rom_name, addr_last):
    hex_file = open(file_name, 'r')
    rom_file = open(rom_name, 'w')  
    rom_file.truncate()
    
    hex_parts = hex_file.readline()
    line = ""
    
    try:
        hex_parts.index("@")
    except:
        return -1
        
    attached = 0
    words = 0    
    
    rom_file.write("@00000000\n");
        
    while(1):        
        hex_parts = hex_file.readline()    
        hex_parts = hex_parts.translate(None, "\n\r")
        hex_parts = hex_parts.split(" ");
        
        if(len(hex_parts) < 4):
            break
        
        for part in hex_parts:       
            
            if(len(part) == 0):
                continue
            
            line += part
            attached += 1
            
            if(attached == 4):
                attached = 0    
                words += 1
                rom_file.write(line + "\n")
                line = ""
    
    for i in range(addr_last - words):
        rom_file.write("00000000\n")
    
    rom_file.close()
    
    return 0

if __name__ == '__main__':
    main(sys.argv[1:])