aboutsummaryrefslogtreecommitdiff
path: root/hdl/wb_slave_ctrl.v
blob: 95e5d18f58e69826bd413dee0a77f0df6f90d944 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
`timescale 1ns / 1ps

module wb_slave_ctrl #(parameter BASE_ADDR = 32'h00000400)(
  input wb_clk_i,
  input wb_rst_i,
  input [31:0] wb_dat_i,
  input [31:0] wb_adr_i,
  input wb_we_i,
  input [3:0] wb_sel_i,
  input wb_cyc_i,
  input wb_stb_i,

  output reg [31:0] wb_dat_o,
  output wb_ack_o,

  output reg[7:0] data_o,
  output reg[7:0] step_o,
  output reg data_val_o,
  output reg step_val_o,

  input [7:0] data_i,
  input [7:0] step_i
);

  localparam REG_DATA = BASE_ADDR + 0;
  localparam REG_STEP = BASE_ADDR + 1;

  reg dat_ready = 0;

  assign read_i  = wb_cyc_i & wb_stb_i & !wb_we_i;
  assign write_i = wb_cyc_i & wb_stb_i & wb_we_i;

  assign wb_ack_o = (wb_cyc_i && wb_stb_i) && (data_val_o || step_val_o || dat_ready);

  always @(posedge wb_clk_i)
    if( write_i && wb_adr_i == REG_DATA )
	   begin
		  data_o <= wb_dat_i;
		  data_val_o <= 1;
		end
	 else
	   begin
		  data_o <= 0;
		  data_val_o <= 0;
		end

    always @(posedge wb_clk_i)
      if( write_i && wb_adr_i == REG_STEP )
	     begin
		    step_o <= wb_dat_i;
		    step_val_o <= 1;
		  end
	   else
	     begin
		    step_o <= 0;
		    step_val_o <= 0;
		  end

  always @(posedge wb_clk_i)
    if( read_i && wb_adr_i == REG_DATA )
	   begin
		  wb_dat_o <= data_i;
		  dat_ready <= 1;
		end
	 else if( read_i && wb_adr_i == REG_STEP )
	   begin
	     wb_dat_o <= step_i;
        dat_ready <= 1;
		end
    else
	   begin
		  wb_dat_o <= 0;
		  dat_ready <= 0;
		end

endmodule