aboutsummaryrefslogtreecommitdiff
path: root/hdl/wb/wb.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'hdl/wb/wb.vhd')
-rw-r--r--hdl/wb/wb.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/hdl/wb/wb.vhd b/hdl/wb/wb.vhd
index 5f61a90..3666aa6 100644
--- a/hdl/wb/wb.vhd
+++ b/hdl/wb/wb.vhd
@@ -163,8 +163,8 @@ architecture rtl of intercon is
signal adr : std_logic_vector(31 downto 0);
begin
adr <= (mips_wbm_adr_o);
- ram_wbs_ss <= '1' when adr(31 downto 16)="0000000000000000" else '0';
- wbs_ss <= '1' when adr(31 downto 16)="0000000000000001" else '0';
+ ram_wbs_ss <= '1' when adr(31 downto 10)="0000000000000000000000" else '0';
+ wbs_ss <= '1' when adr(31 downto 10)="0000000000000000000001" else '0';
ram_wbs_adr_i <= adr(31 downto 0);
wbs_adr_i <= adr(31 downto 0);