aboutsummaryrefslogtreecommitdiff
path: root/hdl/ex_stage.v
diff options
context:
space:
mode:
Diffstat (limited to 'hdl/ex_stage.v')
-rw-r--r--hdl/ex_stage.v2
1 files changed, 2 insertions, 0 deletions
diff --git a/hdl/ex_stage.v b/hdl/ex_stage.v
index 082113e..5a7e3d3 100644
--- a/hdl/ex_stage.v
+++ b/hdl/ex_stage.v
@@ -8,6 +8,8 @@ module ex_stage( input clk,
input ex_alu_src_b,
input ex_dst_reg_sel,
input [1:0] ex_alu_op,
+
+ input pstop_i,
input [31:0] A,
input [31:0] B,